Welcome![Sign In][Sign Up]
Location:
Search - 8051 ram

Search list

[SCMcygnal-brief

Description: C8051F单片机是完全集成的混合信号系统级芯片(SoC),具有与8051兼容的高速CIP-51内核,与MCS-51指令集完全兼容,片内集成了数据采集和控制系统中常用的模拟、数字外设及其他功能部件;内置FLASH程序存储器、内部RAM,大部分器件内部还有位于外部数据存储器空间的RAM,即XRAM。C8051F单片机具有片内调试电路,通过4脚的JTAG接口可以进行非侵入式、全速的在系统调试。-C8051F is a fully integrated mixed-signal system-on-chip (SoC), and 8051 compatible with the high-speed CIP-51 core, and MCS-51 compatible instruction set, on-chip integration of the data acquisition and control system used in analog and digital peripherals, and other functional components; embedded Flash program memory, internal RAM, the majority of devices located within the external data memory space of RAM, XRAM. C8051F with on-chip debug circuit, through the four legs of the JTAG interface can be non-invasive, full-speed debugging of the system.
Platform: | Size: 29696 | Author: 覃莉 | Hits:

[SCM8051 Memory Write Example Program

Description: 8051单片机的存储器操作源程序,通过调用这个程序可以实现对存储器的控制-8051 memory operation source, by calling this procedure can be achieved for the memory control
Platform: | Size: 8192 | Author: 吴松 | Hits:

[SCMCYPRESS_A3load

Description: a3load is 8051 firmware that can be used for uploading or downloading to EZ-USB RAM (internal or external). It implements the vendor specific command bRequest = 0xA3. The address to download/upload to/from is specified in the wValue field of the SETUP packet and the length of the transfer in the wLength field. The actual upload/download data is transferred during the DATA stage of the SETUP transfer. This firmware will function on all EZ-USB chips (EZ-USB, EZ-USB FX, FX2, FX2LP, FX1). -a3load 8051 is firmware that can be used for uploading or downloading to the EZ-USB RAM (intern al or external). It implements the vendor speci microwave command bRequest = 0xA3. The address to down load/upload to/from is specified in the wValue SETUP field of the packet and the length of the tr wLength ansfer in the field. The actual upload/download data is transferred during the DATA st age of the SETUP transfer. This firmware will fu nction on all EZ-USB chips (EZ-USB, EZ-USB FX, FX2, FX2LP, FX1).
Platform: | Size: 4096 | Author: szoctavia | Hits:

[assembly languagemypaulm2

Description: 8051 monitor programm: - use external ram to run user program - use eeprom to save user program - provide single step debug, can read internal register and ram -8051 monitor programm :- use external ram to run user program- use eepro m to save user program- provide single step debu g, can read internal register and ram
Platform: | Size: 30720 | Author: 林乙鳴 | Hits:

[Embeded-SCM Developcpld

Description:
Platform: | Size: 2048 | Author: CHENYUEHONG | Hits:

[VHDL-FPGA-Verilogfftinterface

Description: 电赛一等奖作品:音频信号分析仪的FPGA源码,VHDL编写,Quartus7.1综合,ModelSim6.2g se仿真,应用了opencores.org上的开源FFT IP核,加入了8051总线接口和ram-Xinhua Cup first prize works: audio signal analyzer FPGA source, VHDL prepared, Quartus7.1 integrated, ModelSim6.2g se simulation, application of open source opencores.org on FFT IP core, joined the 8051 bus interface and ram
Platform: | Size: 4933632 | Author: 李星 | Hits:

[VHDL-FPGA-Verilog8051-Verilog

Description: 使用CPLD仿真8051核,内有源程序和说明,来之不易-CPLD simulation using 8051 nuclear, which has source code and description, the hard-won
Platform: | Size: 90112 | Author: 梁志洪 | Hits:

[SCM8051_simulator

Description: 一個單晶片8051模擬軟體,可以查看模擬的內部外部RAM資料及暫存器資料,並設置斷點 windows 平台下執行-8051 Simulation of a single-chip software, you can view the internal and external RAM simulation data and register data, and set breakpoints under windows platform for the implementation of
Platform: | Size: 329728 | Author: 何圣泉 | Hits:

[Other8051sheji

Description: 为了方便读者整理 8051 相关专题制作报告,需要使用到的 8051 基本资料电子文件 51.TXT 收录于此目录中,读者可以依需要自行应用,加入到自己的报告中,但仅限于学校的学生制作报告用,不得移做它用,并遵守知识产权使用的所有规定。其中文字内容取材至 "单芯片 8051 实作入门",书号 P2277,文魁图书出版。 8051 基本资料如下: □8051 主要特性 □8051 引脚说明 □8051 中断服务常式的进入点 □8051 特殊目的寄存器 □8051 内部控制寄存器 at89c51 为 ATMEL 所生产的可电气烧录清洗的 8051 相容单芯片,其内部程序代码容量为4KB 8051主要功能列举如下: □ 为一般控制应用的 8 位单芯片 □ 晶片内部具时钟振荡器(传统最高工作频率可至 12MHz) □ 内部程式存储器(ROM)为 4KB □ 内部数据存储器(RAM)为 128B □ 外部程序存储器可扩充至 64KB □ 外部数据存储器可扩充至 64KB □ 32 条双向输入输出线,且每条均可以单独做 I/O 的控制 □ 5 个中断向量源 □ 2 组独立的 16 位定时器 □ 1 个全多工串行通信端口 □ 8751 及 8752 单芯片具有数据保密的功能 □ 单芯片提供位逻辑运算指令 -err
Platform: | Size: 5773312 | Author: ty | Hits:

[SCMAT89C51SND1C_with_LCD_driver

Description: AT89C51SND1C是AT89C51核心的处理器,包含一个MP3解码器,完全兼容8051的Keil C51编译环境,适合8051使用者的MP3解码应用。本代码在基本代码基础上增加了LCD驱动部分,需要比较多的RAM和ROM资源,大家按照自己的系统资源情况,选择基本版本代码还是扩展LCD的代码。-AT89C51SND1C is AT89C51 core processors, includes an MP3 decoder, 8051 is fully compatible with the Keil C51 compiler environment 8051 suitable for user
Platform: | Size: 18432 | Author: walter | Hits:

[SCMaduc812

Description: ADuC812是美国AD公司推出的高性能单片机,具有集成度高、资源丰富等特点。 (1) 基于8051的内核,指令系统与8051兼容,额定工作频率12MHz。 (2) 8KB片内闪速/电擦除程序存储器 640B片内闪速/电擦除数据存储器 256B片内数据RAM。 (3) 3个16位的定时器/计数器 32根可编程I/O线 9个中断源,2个优先级。 (4) 1个8通道,高精度12位ADC 2个12位电压输出DAC。 (5) 1个片内温度传感器。 (6) 采用3V、5V电压工作 具有正常、待机和掉电三种工作模式。 (7) 1个通用UART串行I/O 1个与I2C兼容的两线串口和SPI串口 1个看门狗定时器(WDT) 1个电源监视器(PSM)。-ADuC812 is AD Launches the United States, high-performance single-chip, high integration and rich in resources and so on. (1) Based on the 8051 core 8051 compatible instruction set with a rated operating frequency of 12MHz. (2) 8KB-chip Flash/EE program memory 640B-chip Flash/EE data memory 256B-chip data RAM. (3) three 16-bit timer/counter 32 programmable I/O lines 9 interrupt sources, two priority. (4) an 8-channel, high-precision 12-bit ADC 2 12-bit voltage output DAC. (5) a-chip temperature sensor. (6) the use of 3V, 5V voltage with normal, standby and power-down mode of the three. (7) a generic UART serial I/O 1 with I2C-compatible two-wire SPI serial port and serial port a watchdog timer (WDT) 1 power supply monitor (PSM).
Platform: | Size: 695296 | Author: 白涛 | Hits:

[VHDL-FPGA-VerilogDW8051_ALL

Description: 包中包括, DW8051完整的Verilog HDL代码 两本手册: DesignWare Library DW8051 MacroCell, Datasheet DesignWare DW8051 MacroCell Databook 三篇51论文: 基于IP 核的PSTN 短消息终端SoC 软硬件协同设计 Embedded TCP/ IP Chip Based on DW8051 Core 以8051为核的SOC中的万年历的设计 -DW8051 is designed by synopsys, and its instruction cycle is 4 clock, which lead to about 3 times faster than Intel 8051 with the same oscillator frequency. I writed ram, rom, some other perpherals such as DES, RNG, and its testbench, and it worked all right!
Platform: | Size: 1588224 | Author: myfingerhurt | Hits:

[SCM3

Description: 8051单片机包含中央处理器、程序存储器(ROM)、数据存储器(RAM)、定时/计数器、并行接口、串行接口和中断系统等几大单元及数据总线、地址总线和控制总线等三大总线,现在我们分别加以说-8051 contains the CPU, program memory (ROM), data memory (RAM), timer/counter, parallel port, serial port and interrupt system, several modules and data bus, address bus and control bus three-bus, and now we were said to be
Platform: | Size: 106496 | Author: huyongli | Hits:

[SCMDtmdesign

Description: 利用8051单片机、常用数字逻辑接口器件、ROM、RAM存储器器件、单总线数字温度传感器DS18B20,设计一种基于单片机的数字温度计,温度检测范围为0-90度,要求能够通过键盘设定温度超限报警值,通过LED或LCD显示当前温度值。-The use of 8051, commonly used digital logic interface device, ROM, RAM memory devices, single-bus digital temperature sensor DS18B20, to design a microcontroller-based digital thermometer, the temperature detection range of 0-90 degrees, requested through the keyboard transfinite set temperature alarm value, the adoption of LED or LCD displays the current temperature.
Platform: | Size: 234496 | Author: cp | Hits:

[SCM6264_ram

Description: 8051单片机扩展RAM的典型例子,采用6264芯片,附电路图-8051 classic example of the expansion of RAM, the 6264 chip, with circuit
Platform: | Size: 83968 | Author: zhangruibin | Hits:

[SCMVend_ax

Description: This directory contains the 8051 firmware Vend_Ax source code for the Cypress EZ-USB chip. The purpose of this software is to demonstrate how to implement vendor specific commands. The following vendor specific commands are implemented: A0 Firmware Upload/Download A2 EEProm Load A3 External Ram Load A4 Set I2C Addr A5 Get IIC Type (1 Byte or 2 Byte EEPROM) A6 Get Chip Rev A8 Renumerate
Platform: | Size: 25600 | Author: Willson Yang | Hits:

[Embeded-SCM DevelopDW8051

Description: 8051Ip核内部ram。很多8051iP核都没有内部ram,上传一个希望对大家有用-internel ram of 8051Ip
Platform: | Size: 73728 | Author: 刘超 | Hits:

[Embeded-SCM Develop8051

Description: 单芯片8051实务与应用 共十三章 介绍RAM,ROM的应用,其他模块用法。-Practice and application of single-chip 8051 a total of 13 chapter describes the RAM, ROM applications, usage of other modules.
Platform: | Size: 5237760 | Author: 杨平 | Hits:

[SCMucosii51

Description: 这是一个用51单片机系统仿真ucosii工作的protues电路 本系统包括扩展64k RAM的单片机系统和杨屹移植到51单片机的ucosii 系统 使用方法: 1.安装Protues7.4版,然后用protues打开protues 8051 simulation 文件夹 中的extern ram.DSN 文件 2.打开extern ram.DSN 后,双击单片机在弹出的窗口中的Program File 选项 中选择yy.hex文件。 (yy.hex其实就是yy文件夹中keil文档编译出来的hex文 件,yy文件夹是杨屹的ucos51 系统,用keil 2 可以打开) 3.在Clock Frequency选项中输入22.184M(杨屹的ucos51 用的是22.184M hz的单片机频率) 4.双击VTERM串口调试显示屏,在Baud Rate 选项中选择19200的波特率 5.保存,点击运行就可以看到经典的hello world 了。 -1. Protues7.4 version installed, and then open the protues folder protues 8051 simulation The extern ram.DSN file 2. Open extern ram.DSN, double-click the pop-up window of SCM in the Program File option, select yy.hex file. (yy.hex actually yy folder keil out of the document compiled hex files, yy folder is Yang Yi s ucos51 system, using keil 2 Can open) 3. In the Clock Frequency option to enter 22.184M (Yang Yi of the ucos51 using a microcontroller 22.184M hz frequency) 4. Double-click VTERM serial debug display, in the Baud Rate select 19200 baud rate options 5. Save, click Run you can see the classic hello world
Platform: | Size: 266240 | Author: 官卫乾 | Hits:

[Other8051RAM

Description: 8051扩展RAM的典型电路,可以移植到任何51系列的平台上-8051 expansion of RAM, a typical circuit, can be transferred to any 51 series platform
Platform: | Size: 52224 | Author: anbaoyong | Hits:
« 12 3 4 »

CodeBus www.codebus.net